RFNoC Vector IIR Block

From GNU Radio
Revision as of 14:13, 29 October 2023 by Duggabe (talk | contribs) (initial creation)
(diff) ← Older revision | Latest revision (diff) | Newer revision → (diff)
Jump to navigation Jump to search

This module implements an IIR filter with a variable length delay line.
Transfer Function: H(z) = beta / (1 - alpha*z^-delay)
Where

  • beta is the feedforward tap
  • alpha is the feedback tap
  • delay is the feedback tap delay


Parameters

(R): Run-time adjustable

Block Args
default: ""
Device Select
default: -1
Instance Select
default: -1
Alpha
default: 0.9
Beta
default: 0.9
Delay
default: 5

Example Flowgraph

Example Output

Source Files

C++ files
TODO
Header files
TODO
Public header files
TODO
Block definition
uhd_rfnoc_vector_iir.block.yml